Handbuch

STS Handbuch

Stellwerksim Handbuch

Webseiten-Werkzeuge


stellwerksim:erbauer:themascripte:simparam

Unterschiede

Hier werden die Unterschiede zwischen zwei Versionen angezeigt.

Link zu dieser Vergleichsansicht

Beide Seiten der vorigen RevisionVorhergehende Überarbeitung
Nächste Überarbeitung
Vorhergehende Überarbeitung
Letzte ÜberarbeitungBeide Seiten der Revision
stellwerksim:erbauer:themascripte:simparam [2022/03/30 00:07] – Syntax-Fehler if-Befehl korrigiert Wozzapstellwerksim:erbauer:themascripte:simparam [2023/04/10 18:19] – [Tabelle] Wozzap
Zeile 63: Zeile 63:
 Das würde dazu führen, dass der Zug zwar im Schnitt weiterhin um die 30 Sekunden zufälliger Wartezeit bekommt an den Halten, es aber auch mal bis zu 5 Minuten werden können und die Wahrscheinlichkeit dafür auch größer wird.</note> Das würde dazu führen, dass der Zug zwar im Schnitt weiterhin um die 30 Sekunden zufälliger Wartezeit bekommt an den Halten, es aber auch mal bis zu 5 Minuten werden können und die Wahrscheinlichkeit dafür auch größer wird.</note>
 ==== randomdevisor ==== ==== randomdevisor ====
-| Funktion               | ''randomdevisor'' ist Teil der Wartezeit-Berechnung auf Basis der im Fahrplan hinterlegten Standzeit.                                                                                                                                         +| Funktion               | ''randomdevisor'' ist Teil der Wartezeit-Berechnung auf Basis der im Fahrplan hinterlegten Standzeit.                                                                                                                                      
-| Standardwert           | ''2''. Da es nur ein Devisor für eine mathematische Rechnung ist, gibt es keine Einheit.                                                                                                                                                      +| Standardwert           | ''2''. Da es nur ein Devisor für eine mathematische Rechnung ist, gibt es keine Einheit.                                                                                                                                                   
-| Einstellmöglichkeiten  | ''randomdevisor'' ermöglicht die Einkürzung der Haltezeit. Der Wert bildet die Mitte einer Zufallsberechnung (Gauß lässt grüßen) ab zwischen den Extremwerten 2 und 5 ab. Daher können auch nur die Werte 2, 3, 4 oder 5 eingestellt werden. +| Einstellmöglichkeiten  | ''randomdevisor'' ermöglicht die Einkürzung der Haltezeit. Der Wert bildet die Mitte einer Zufallsberechnung (Gauß lässt grüßen) zwischen den Extremwerten 2 und 5 ab. Daher können auch nur die Werte 2, 3, 4 oder 5 eingestellt werden. 
-| Auswirkungen           | Je höher der Zufallsdevisor gesetzt wird, desto kürzer wird die Wartezeit auf Basis der Standzeit laut Fahrplan, da eine Standzeit von 10 Min / 5 = 2 min wesentlich kleiner ist als 10 Min / 2 = 5 Min.                                      |+| Auswirkungen           | Je höher der Zufallsdevisor gesetzt wird, desto kürzer wird die Wartezeit auf Basis der Standzeit laut Fahrplan, da eine Standzeit von 10 Min / 5 = 2 min wesentlich kleiner ist als 10 Min / 2 = 5 Min.                                   |
  
 <note>__Anwendungsbeispiel__ <note>__Anwendungsbeispiel__
Zeile 91: Zeile 91:
 | Standardwert           | ''30'' Sekunden                                                                                                                                                                                      | | Standardwert           | ''30'' Sekunden                                                                                                                                                                                      |
 | Einstellmöglichkeiten  | ''minstopR'' ermöglicht das Einstellen einer absoluten Mindest__wende__zeit. Dabei muss die Angabe immer in Sekunden angegeben werden und mindestens ''30'' betragen.                                | | Einstellmöglichkeiten  | ''minstopR'' ermöglicht das Einstellen einer absoluten Mindest__wende__zeit. Dabei muss die Angabe immer in Sekunden angegeben werden und mindestens ''30'' betragen.                                |
-| Auswirkungen           Wenn alle anderen Berechnungen zur Wartezeit eines Zuges bereits die Abfahrt erlauben würden, kann dieser Wert sie noch verzögern. Analog zu ''minstop'' aber eben für Richtungswechsel per R-Flag |+| Auswirkungen           Dieser Wert verzögert die Ausführung des R-Flags. Andere Flags können, wenn vorhanden, zusätzlich Zeit brauchen - etwa E-Flags. |
  
 <note>__Anwendungsbeispiel__ <note>__Anwendungsbeispiel__
stellwerksim/erbauer/themascripte/simparam.txt · Zuletzt geändert: 2023/08/08 15:12 von DevonFrosch